From 5f72b7ec62a6f2fb8ce6b9d5379f03a3e4126971 Mon Sep 17 00:00:00 2001 From: autocommitter Date: Mon, 22 Apr 2024 14:52:14 +0200 Subject: State at 2020-08-29 --- subway/nodes/(-1025,10,226).lua | 2 +- subway/nodes/(10,0,-10).lua | 4 ++-- subway/nodes/(787,8,-103).lua | 3 +++ 3 files changed, 6 insertions(+), 3 deletions(-) create mode 100644 subway/nodes/(787,8,-103).lua (limited to 'subway/nodes') diff --git a/subway/nodes/(-1025,10,226).lua b/subway/nodes/(-1025,10,226).lua index 6c765e1..83dd2b8 100644 --- a/subway/nodes/(-1025,10,226).lua +++ b/subway/nodes/(-1025,10,226).lua @@ -1,2 +1,2 @@ F.stn_return("Ahr3N", "Ahz3R", "Ahr3S", "L", "Ahz3ES", "cr") -F.lineset("3", "N") \ No newline at end of file +F.lineset("3", "S") \ No newline at end of file diff --git a/subway/nodes/(10,0,-10).lua b/subway/nodes/(10,0,-10).lua index 0585cbf..bc56a91 100644 --- a/subway/nodes/(10,0,-10).lua +++ b/subway/nodes/(10,0,-10).lua @@ -1,6 +1,6 @@ -- digiline_send("l14e", "SIS Variant 2 - v1.4 | No info avaliable") -local appr_time = 20 +local appr_time = 15 local dnnapprt=15 if not appr_tmr then appr_tmr = 0 end local setint = false @@ -87,7 +87,7 @@ if dd_line and nwt then end digiline_send("l14e", line1.." | "..line2.." | "..line3.." | ----------- | "..line4) -digiline_send("l14eint", "in_stn: "..(in_stn or "-").." "..appr_tmr.."s".." | n_appr: "..(n_appr or "-").." | n_wait: "..(n_wait or "-").. " | "..event.type.." | "..(event.message or "-")) +digiline_send("l14eint", "in_st:"..(in_stn or "-").." "..appr_tmr.."s".." | n_appr: "..(n_appr or "-").." | n_wait: "..(n_wait or "-").. " | "..event.type.." | "..(event.message or "-")) if (appr_tmr > 0 or nnwaiting) and setint then interrupt(5, "appr") diff --git a/subway/nodes/(787,8,-103).lua b/subway/nodes/(787,8,-103).lua new file mode 100644 index 0000000..34d04ad --- /dev/null +++ b/subway/nodes/(787,8,-103).lua @@ -0,0 +1,3 @@ +--F.stn_return("Dam2N", "Dam2R", "Dam2S", "R", "Dam2ES", "cr", 6, nil, 20) +F.stat("Line 2", false) +atc_set_text_outside("Line 2 - Chasm of Segfault") \ No newline at end of file -- cgit v1.2.3